package Complex1_Pkg is procedure Coord (x,y : out Float); end Complex1_Pkg;