summaryrefslogtreecommitdiff
path: root/samples/microkernel/test/test_pipe/src/main.c
diff options
context:
space:
mode:
Diffstat (limited to 'samples/microkernel/test/test_pipe/src/main.c')
-rw-r--r--samples/microkernel/test/test_pipe/src/main.c38
1 files changed, 19 insertions, 19 deletions
diff --git a/samples/microkernel/test/test_pipe/src/main.c b/samples/microkernel/test/test_pipe/src/main.c
index f52b32ee8..ce37a2cac 100644
--- a/samples/microkernel/test/test_pipe/src/main.c
+++ b/samples/microkernel/test/test_pipe/src/main.c
@@ -82,11 +82,11 @@ kpipe_t pipeId = PIPE_ID;
*/
void RegressionTaskEntry(void)
- {
+{
extern int RegressionTask(void);
task_sem_give(resultSems[RegressionTask()]);
- }
+}
/*******************************************************************************
*
@@ -99,11 +99,11 @@ void RegressionTaskEntry(void)
*/
void AlternateTaskEntry(void)
- {
+{
extern int AlternateTask(void);
task_sem_give(resultSems[AlternateTask()]);
- }
+}
/*******************************************************************************
*
@@ -116,31 +116,31 @@ void AlternateTaskEntry(void)
*/
void MonitorTaskEntry(void)
- {
+{
ksem_t result;
int tasksDone;
PRINT_DATA("Starting pipe tests\n");
PRINT_LINE;
- /*
- * the various test tasks start executing automatically;
- * wait for all tasks to complete or a failure to occur,
- * then issue the appropriate test case summary message
- */
+ /*
+ * the various test tasks start executing automatically;
+ * wait for all tasks to complete or a failure to occur,
+ * then issue the appropriate test case summary message
+ */
for (tasksDone = 0; tasksDone < NUM_TEST_TASKS; tasksDone++) {
- result = task_sem_group_take_wait_timeout(resultSems, TIMEOUT);
- if (result != resultSems[TC_PASS]) {
- if (result != resultSems[TC_FAIL]) {
- TC_ERROR("Monitor task timed out\n");
+ result = task_sem_group_take_wait_timeout(resultSems, TIMEOUT);
+ if (result != resultSems[TC_PASS]) {
+ if (result != resultSems[TC_FAIL]) {
+ TC_ERROR("Monitor task timed out\n");
+ }
+ TC_END_RESULT(TC_FAIL);
+ TC_END_REPORT(TC_FAIL);
+ return;
}
- TC_END_RESULT(TC_FAIL);
- TC_END_REPORT(TC_FAIL);
- return;
- }
}
TC_END_RESULT(TC_PASS);
TC_END_REPORT(TC_PASS);
- }
+}